如何用FPGA实现FFT(快速傅里叶变换)

来源:学生作业帮助网 编辑:作业帮 时间:2024/04/29 19:19:50

如何用FPGA实现FFT(快速傅里叶变换)
如何用FPGA实现FFT(快速傅里叶变换)

如何用FPGA实现FFT(快速傅里叶变换)
有几个解决方案:1,自己找到FFT如何实现的文档(verilog实现),很多VERILOG方面的书籍都有的,应该可以找到的;2、到open source上下载一个,然后调试仿真,再到FPGA实现;3、直接用xilinx 的ip例化一个,但不一定符合您的要求.