在跑马灯电路中计数器的作用是什么?如何控制跑马灯的速度?为什么计数器的代码都写成cnt=23'b7fffff?不好意思,这个跑马灯的电路是在verilog vhdl中实现的.如何在一个跑马灯电路中实现不同的程

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/05 12:36:06

在跑马灯电路中计数器的作用是什么?如何控制跑马灯的速度?为什么计数器的代码都写成cnt=23'b7fffff?不好意思,这个跑马灯的电路是在verilog vhdl中实现的.如何在一个跑马灯电路中实现不同的程
在跑马灯电路中计数器的作用是什么?如何控制跑马灯的速度?
为什么计数器的代码都写成cnt=23'b7fffff?
不好意思,这个跑马灯的电路是在verilog vhdl中实现的.如何在一个跑马灯电路中实现不同的程序.如我的板子上有8个led灯,如何让它先跑0000_0000,0000_0001再到1010_1010的转变?

在跑马灯电路中计数器的作用是什么?如何控制跑马灯的速度?为什么计数器的代码都写成cnt=23'b7fffff?不好意思,这个跑马灯的电路是在verilog vhdl中实现的.如何在一个跑马灯电路中实现不同的程
你这样不好让我们回答,你的跑马灯是在哪里实现的,VC环境下的,还是汇编实现的,又或者是在硬件电路上实现的,一般说来计数器的作用,在这个例子中应该是延长的作用,你控制跑马灯的速度也是在计数器里做文章,因为系统的时钟是固定的,想控制跑马灯的速度就通过计数器来延长达到控制速度的作用."如我的板子上有8个led灯,如何让它先跑0000_0000,0000_0001再到1010_1010的转变"你只要把这些数往输出断口写就可以了啊!而且你就只有3中状态.